PLC论坛-全力打造可编程控制器专业技术论坛

 找回密码
 注册哦

QQ登录

只需一步,快速开始

微信扫码登录

12
返回列表 发新帖
楼主: mmmaaa

PLC和计算机间串行通讯方式及程序设计

[复制链接]
发表于 2011-7-18 01:39:00 | 显示全部楼层
看看
回复 支持 反对

使用道具 举报

发表于 2011-7-19 16:37:00 | 显示全部楼层
学习一下 看看怎样做 计算机方面的设计编程
回复 支持 反对

使用道具 举报

发表于 2011-7-28 15:12:00 | 显示全部楼层
vhjv 我想学习
回复 支持 反对

使用道具 举报

发表于 2011-10-15 19:23:00 | 显示全部楼层
200PLC和汇川变频通讯程序
回复 支持 反对

使用道具 举报

发表于 2011-10-16 16:39:00 | 显示全部楼层
收了
回复 支持 反对

使用道具 举报

发表于 2011-10-27 16:13:00 | 显示全部楼层
正在学习通信,谢谢
回复 支持 反对

使用道具 举报

发表于 2011-10-28 19:26:00 | 显示全部楼层

kankankan

kankankan
回复 支持 反对

使用道具 举报

发表于 2011-10-31 09:58:00 | 显示全部楼层
看一看
回复 支持 反对

使用道具 举报

发表于 2012-2-10 15:56:16 | 显示全部楼层
11111
回复 支持 反对

使用道具 举报

发表于 2012-2-11 07:18:30 | 显示全部楼层
看看是不是
回复 支持 反对

使用道具 举报

发表于 2012-2-19 12:31:52 | 显示全部楼层
学习学习
回复 支持 反对

使用道具 举报

发表于 2012-2-19 17:50:07 | 显示全部楼层

回复 支持 反对

使用道具 举报

发表于 2012-2-20 13:07:15 | 显示全部楼层
适合初学者
回复 支持 反对

使用道具 举报

发表于 2012-3-2 16:05:07 | 显示全部楼层
谢谢  太感谢了
回复 支持 反对

使用道具 举报

发表于 2012-3-2 22:19:49 | 显示全部楼层
太感谢了
回复 支持 反对

使用道具 举报

gaotian534 该用户已被删除
发表于 2012-3-17 22:37:32 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
回复 支持 反对

使用道具 举报

发表于 2012-4-4 01:53:49 | 显示全部楼层
回复 支持 反对

使用道具 举报

发表于 2012-4-6 09:41:15 | 显示全部楼层
顶顶顶·~~
回复 支持 反对

使用道具 举报

发表于 2012-10-8 08:48:00 | 显示全部楼层
好东西学习一下
回复 支持 反对

使用道具 举报

发表于 2012-10-31 22:25:32 | 显示全部楼层
PLC和计算机间串行通讯方式及程序设计
回复 支持 反对

使用道具 举报

发表于 2012-12-19 21:47:17 | 显示全部楼层
谢谢楼主分享
回复 支持 反对

使用道具 举报

发表于 2012-12-22 08:29:49 | 显示全部楼层
看看
回复 支持 反对

使用道具 举报

发表于 2013-1-18 15:04:29 | 显示全部楼层
看看
回复 支持 反对

使用道具 举报

发表于 2013-1-18 16:37:44 | 显示全部楼层
向前辈学习
回复 支持 反对

使用道具 举报

发表于 2013-3-1 21:32:16 | 显示全部楼层
学习一下,谢谢
回复 支持 反对

使用道具 举报

发表于 2013-6-7 22:51:34 | 显示全部楼层
我想有我需要的,谢谢
回复 支持 反对

使用道具 举报

发表于 2013-6-9 15:15:54 | 显示全部楼层
回复 支持 反对

使用道具 举报

发表于 2013-6-9 19:13:01 | 显示全部楼层
东西呢?
回复 支持 反对

使用道具 举报

发表于 2013-9-3 12:29:55 | 显示全部楼层
学习学习
回复 支持 反对

使用道具 举报

发表于 2014-11-26 12:24:13 | 显示全部楼层

我想有我需要的
回复 支持 反对

使用道具 举报

发表于 2015-1-8 09:33:26 | 显示全部楼层
dddddddddddddd
回复 支持 反对

使用道具 举报

发表于 2016-1-29 19:26:16 | 显示全部楼层
学习一下吧!
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册哦

本版积分规则

QQ|小黑屋|手机版|Archiver|PLC技术网-PLC论坛 ( 粤ICP备17165530号 )|网站地图

GMT+8, 2024-4-24 01:46 , Processed in 0.054956 second(s), 19 queries .

快速回复 返回顶部 返回列表